锂电池封测 IPO雷达|产品低端,议价能力弱,封测厂商蓝箭电子由科创板转战创业板

小编 2024-10-06 聚合物锂电池 23 0

IPO雷达|产品低端,议价能力弱,封测厂商蓝箭电子由科创板转战创业板

记者|梁怡

刚于8月2日终止科创板注册的佛山市蓝箭电子股份有限公司(简称:蓝箭电子)又开启创业板上市之路,拟募资6.02亿元,保荐人仍然是金元证券。

蓝箭电子主要从事半导体封装测试业务,为半导体行业及下游领域提供分立器件和集成电路产品,细分为三极管、二极管、场效应管等分立器件产品以及AC-DC、DC-DC、锂电保护IC、LED驱动IC等集成电路产品。

从产业链来看,蓝箭电子对下游半导体行业、家用电器领域的客户议价能力较弱;横向来看,公司在集成电路封装测试市场和分立器件市场占有率不足0.1%,仍以传统封装为主,先进封装技术远落后于行业龙头;此外,公司还有多起纠纷“缠身”。

产品议价能力较弱

报告期内(2018年至2021年上半年),公司的营业收入分别为4.85亿元、4.9亿元、5.71亿元和3.6亿元,2018年至2020年复合增长率为8.56%;净利润分别为1075.41万元、3170.1万元、1.84亿元以及4224.65万元,其中2020年净利润同比大增,原因在于公司佛平路地块安置征收补偿置换事项产生的资产处置收益近1.56亿元。

扣除非经常性损益后,报告期内蓝箭电子归母净利润分别为195.61万元、2769.79万元、4324.51万元以及3917.13万元。

从产品分类来看,2018年-2020年蓝箭电子分立器件收入整体变化较小,分别为3.13亿元、3.09亿元以及3.28亿元,而集成电路收入及占比均快速提升,由2018年的1.28亿元增至2020年的2.38亿元,占比从26.66%增加到42.01%,2020年不再销售LED产品。

从业务模式来看,蓝箭电子分为自有品牌产品和封测服务产品两类,前者是自行采购芯片以及框架、塑封料等其他材料进行封装测试,为客户提供不同封装形式的半导体产品;后者为客户提供封测服务产品,由客户提供芯片,公司提供除芯片外的框架、塑封料等其他材料进行封装测试,公司收取封测服务费。

界面新闻记者注意到,报告期内蓝箭电子主营业务毛利率分别为15.96%、19.86%、19.97%和24.47%,呈现逐年上涨的趋势,原因在于封测服务占比提升,其毛利率高于自有品牌产品的毛利率,从而导致毛利率整体上升,但细分到具体产品则暴露出公司对下游客户议价能力较弱。

报告期内,蓝箭电子的自有品牌产品以分立器件为主,其占比分别为82.12%、89.90%、92.34%以及91.47%,因此自由品牌的毛利率直接与分立器件产品毛利率挂钩,报告期内公司自有品牌产品的毛利率分别为7.79%、15.51%、14.49%和23.19%,而分立器件产品的整体毛利率分别为15.63%、15.51%、14.57%和22.09%,2018年差异较大的原因系当年LED业务调整后,处理LED存货导致LED产品亏损,其毛利率为-49.86%,收入占比达到12.35%。

蓝箭电子解释称,2018年-2020年自有品牌分立器件毛利率逐年有小幅下降,主要原因系受市场因素和产品结构调整影响,其平均单价下降的幅度大于单位成本下降的幅度所致。

从封测服务产品来看,报告期内蓝箭电子封测服务产品的毛利率分别为31.41%、26.25%、25.68%和25.68%,2020年较2018年下滑5.73个百分点,其中集成电路占封测服务的收入占比在70%上下浮动,因此封测服务产品的毛利率更多与集成电路毛利率挂钩。

报告期内,公司封测服务集成电路产品的整体毛利率分别为32.91%、29.28%、27.04%和 27.41%,2020年较2018年下滑了5.87个百分点,主要原因为随着新工艺技术在封装上的深入运用,封装产品性能提升,生产效率提高,封装单位成本呈下降趋势,但受市场竞争因素的影响,单价的下降幅度超过了单位成本下降幅度。

界面新闻记者注意到,蓝箭电子下游客户包括拓尔微、华润微、晶丰明源等半导体行业客户;美的集团、格力电器等家用电器领域客户;三星电子、普联技术等信息通信领域客户;赛尔康、航嘉等电源领域客户;漫步者、奥迪诗等电声领域客户,而前述提到的毛利率下滑则不难看出蓝箭电子对下游客户议价能力较弱。

先进封装收入占比极低

IPO前,三名一致行动人王成名、陈湛伦、张顺合计持股44.32%,为公司的共同控股股东及实际控制人,而本次股票发行后,上述三人合计可支配股份表决权的比例为33.24%,其中王成名77岁,是享受国务院特殊津贴专家,陈湛伦年龄也达72岁。

2020年6月29日上交所受理了蓝箭电子的科创板上市申请,同年7月26日进入问询,12月31日通过上市委会议,今年3月4日提交注册,眼看打开上市大门,但最终于7月19日主动撤回收场。

关于蓝箭电子为何终止注册,市场猜测与公司自身科创属性不足有关。

根据科创板招股书,蓝箭电子称自己符合科创板定位且具备科创属性,最近三年(2017年-2019年)累计研发投入、形成主营业务收入的发明专利数量以及最近一年营业收入均符合对应指标要求。

值得关注的是,在一轮问询、二轮问询中蓝箭电子的研发费用均被监管层重点关注,而在上市委现场问询中仍然围绕研发情况展开,包括研发的具体过程、研发过程的内部控制情况、研发活动与公司提升先进封装领域的技术水平和收入规模的相关性、报告期内累计研发投入高于累计盈利的商业合理性,研发投入中包含非专职研发人员、非专用研发设备情况等多个问题。

对于公司而言,持续的研发投入直接作用于以此产生的收入,而蓝箭电子的研发投入对于提升先进封装领域的技术水平和收入规模尽显不足。

2018年-2020年上半年蓝箭电子的主要收入仍来源于传统封装产品,先进封装系列主要包括DFN及TSOT,占主营业务收入的比重分别为0.62%、1.40%、1.98%和2.41%,占比极低但呈现微弱上涨趋势。

另从先进封装技术自身看,蓝箭电子与行业龙头封测厂商还存在一定差距。

目前半导体封测行业正在经历从传统封装(DIP、SOT、SOP等)向先进封装的转型。先进封装技术主要有两种技术路径:一种是减小封装体积,使其接近芯片本身的大小,这一技术路径统称为晶圆级芯片封装(WLCSP),包括扇入型封装(Fan-In)、扇出型封装(Fan-Out)、倒装(Flip-Clip)等;另一种封装技术是将多个裸片封装在一起,提高整个模组的集成度,这一技术路径叫做系统级封装(SiP)。

据悉,行业龙头封测厂商如长电科技、华天科技和通富微电等公司以先进封装技术为主,重点聚焦集成电路封测技术研发,主要掌握Flip Chip、SiP、Bumping、TSV、MEMS、Fan-Out等多项先进封装技术,封装产品系列包括DFN、QFN、TSV、BGA、CSP等,其封测技术覆盖分立器件、数字电路、模拟电路和传感器等多个领域;而蓝箭电子以传统封装为主,目前仅掌握Flip Chip技术,封装产品应用主要集中于分立器件和模拟电路。

从市占率来看,根据蓝箭电子给出的有关数据,2020年公司在集成电路封装测试市场占有率为0.09%,在分立器件市场占有率0.09%,市占率极低。

本次IPO中,蓝箭电子拟使用5.44亿元用于半导体封装测试扩建项目,建设期2年,项目建设完成后,将形成年新增产品54.96亿只的生产能力,其中包括DFN/QFN系列、PDFN系列、SOT/TSOT 系列、SOP系列、TO系列等,能够有效提升公司AC-DC、DC-DC、锂电保护IC等集成电路产品产能。

关于报告期内蓝箭电子的产能及利用情况,公司并没有细分到具体产品且各年产能有所不同,但不难发现的是公司产能利用率均未到90%,而公司前五大客户集中度最高值为34.34%,因此新增约一半的产能能否消化有待观察。

纠纷缠身

天眼查APP显示,蓝箭电子外部存在多起司法案件,主要以买卖合同纠纷为主。

截至招股书签署日,公司存在1起尚未了结且涉诉金额超过100万元的诉讼,系深圳天源中芯半导体有限公司(简称“深圳天源”)起诉上海国芯集成电路设计有限公司(简称“上海国芯”)、蓝箭电子侵害集成电路布图设计专有权。

2018年6月11日,深圳天源向广州知识产权法院提起诉讼,请求:1判令上海国芯及蓝箭电子立即停止复制、销售侵害原告登记号为BS.165007060、名称为“线性锂电池充电器”的集成电路布图设计专有权的产品;2判令上海国芯及蓝箭电子连带赔偿深圳天源经济损失和深圳天源为制止侵权行为所支出的合理费用,共计人民币300万元;3案件诉讼费用由上海国芯及蓝箭电子共同承担。

今年6月16日,广州知识产权法院判决被告上海国芯停止侵害原告深圳天源BS.165007060“线性锂电池充电器”集成电路布图设计专有权的行为,驳回原告深圳天源对蓝箭电子的诉讼请求。

上海国芯、深圳天源分别于7月16日、19日向最高人民法院提起上诉。截至本招股说明书签署日,该案二审判决尚未作出。

集成电路封测行业深度报告:先进封装助力高速互连

(报告出品方/作者:方正证券,郑震湘、佘凌星)

1 先进封装市场占比提升

海量数据催生高带宽需求,先进封装不断迭代。随着各行业应用中产生的数据 量不断增长,对高带宽的需求与日俱增。尤其是机器学习和 AI 相关应用需要强 大的处理能力,因此需要在芯片上高密度的集成晶体管。封装也不例外,封装 形式的迭代均是通过以下两个途径以提高带宽:1)增加 I/O 数量。封装厂选择 制造多层 RDL 以扩大 I/O 点的范围,并在每一层 RDL 中不断缩小 L/S 线距以容 纳更多的 I/O 点。2)增加传输速率,通过减小裸芯之间的互联距离和选择具有 更低介电常数的材料来实现。

先进封测市场占比迅速增加。先进封装市场规模将从 2021 年的 321 亿美元增长 到 2027 年的 572 亿美元,CAGR 达 10.11%。根据市场调研机构 Yole,2022 年先 进封装占全球封装市场的份额约为 47.20%,预计 2025 年占比将接近于 50%。 中国市场中先进封装占比低于全球水平,2022 年为 38%,自 2014 年以来与全球 市场的差距正在逐步缩小。

倒装为目前主流,2.5D/3D 封装高速增长。2021 年 FCBGA 和 FCCSP 占比分别为 33.69%和 19.76%,合计占比超 50%。其次为 2.5D/3D 封装,2021 年占比为 20.57%,主要由台积电供应。在各封装形式中,2.5D/3D 封装的增速最快, 2021-2027 年 CAGR 达 14.34%,增量主要由 AI、HPC、HBM 等应用驱动。

先进封装市场主要由 HPC、网络和消费应用驱动。HPC 和网络应用的大部分增 长来自 AI 芯片、边缘计算和网络芯片,它们需要扇出型封装以提供小尺寸和节 约成本。2022 年只有不到 20%的数据中心使用 2.5D 封装, 但在 2027 年这一比 例将有望超过 50%。3D 封装将加速在 HBM、CPU、GPU 中的渗透。消费电子应用 领域的重要客户是苹果,其应用处理器、图形芯片、5G/6G 调制解调器芯片均使 用扇出封装。

先进封装市场马太效应明显。2021 年 ASE 市占率居首,份额为 26%。台积电和 安靠并列第二,长电科技位列第四,市占率为 10%。2021 年 CR5 为 76%,而2016 年 CR5 为 48%,5 年间提升了 28%,份额前五名中仅长电和日月光仍位列其 中。

Fab/IDM 厂和 OSAT 错位竞争:Fab/IDM 厂商涉足 3D 堆叠,OSAT 主攻倒装、扇出 和晶圆级封装。Fab/IDM 厂基于前道制造优势和硅加工经验,聚焦产品性能,多 开发基于 Si-interposer 的 2.5D 或 3D 封装技术。从头部厂商的封装类型来 看,三星的 3D 堆叠产品最高,达 67%,主要系其存储产品占比较高所致。其次 为台积电,3D 堆叠占比为 46%;凭借其 InFO 在苹果产品中的渗透,台积电扇出 型封装占比也达到了 33%。OSAT 厂商则聚焦于载板技术,成本为先,产品结构 中倒装仍是主力,FCBGA 和 FCCSP 占比在 ASE 中为 38%和 29%,在安靠中为 28% 和 33%,在长电中为 28%和 31%。

内资封测企业中甬矽电子、通富微电先进封装占比领先。甬矽电子目前封装技 术以 SiP 为主,先进封装产品占比达 100%。通富微电、长电科技、华天科技技术布局最为广泛,且均已具备 2.5D/3D 的技术储备,未来先进封装占比有望继 续提升。

凸点间距(Bump Pitch)越小,封装集成度越高,难度越大。从 Bump Pitch 来 看,台积电 3D Fabric 技术平台下的 3D SoIC、InFO、CoWoS 均居于前列,其中 3D SoIC 的 bump Pitch 最小可达 6um,居于所有封装技术首位。Bump Pitch 间 距最小的 3D SoIC 和 Foveros Direct 仍在研发中,尚未量产。目前已经量产的 封装技术中,bump pitch 最小的为台积电的 InFO_LSI。

2 核心技术赋能先进封装

2.1 键合技术:Bump pitch 不断缩小,混合键合趋势已来

2.1.1 倒装键合

倒装芯片的组装主要有两种方式,间接键合和直接键合。通过回流焊凸点焊球 或者 TCB 热压键合的属于间接键合,特点是芯片与基板之间有中间材料。通过 混合键合,铜与铜扩散键合,中间没有其他材料的方式是直接键合。 铜柱凸点是高密度、窄节距集成电路封装市场主流方式。随着先进封装对凸点 间距要求越来越小,为了避免桥接现象的发生,实现更高 I/O 密度,IBM 公司于 21 世纪初首次提出了铜柱凸点。在焊料互连过程中,铜柱凸点能够保持一定的 高度,可以防止焊料的桥接现象发生,同时可以掌控堆叠层芯片的间距高度, 铜柱凸点的高径比不再受到阵列间距的限制,在相同的凸点间距下,可以提供 更大的支撑高度,显著改善了底部填充胶的流动性。

2.1.2 TCB

回流焊仍为 FC 组装主流方式,TCB 潜力大。根据铜柱凸点的节距不同,铜柱凸 点的键合方法可以分为回流焊和热压键合(TCB)两种方式。对于节距较大的铜 柱凸点,可采用回流焊方式完成凸点键合。回流焊的方式效率高,成本低,其 缺点跟热膨胀系数(CTE)有关,由于整个封装由不同的材料组成,在回流炉中 加热会导致这些不同的材料以不同的速度膨胀。当芯片和基板膨胀和冷却时, CTE 的差异会导致翘曲。此外还会有芯片间隙变化等问题导致最终产品电气性能 差。

C4 锡球/C2 铜柱凸点回流焊:回流焊被用于倒装芯片的组装超过 50 年,组装过 程相对简单,(1)使用上视和下视相机识别芯片上的凸点位置以及基板上的焊 盘位置;(2)在 C4 凸点、基板上或两者上都涂敷助焊剂;以及(3)将带有 C4 凸点的芯片取出并放置在基板上,然后在一定温度下进行回流焊。通常来说, C4 凸点间距最小可以做到 50 微米。C2(带有焊帽的铜柱)凸点芯片回流焊主要 用于高引脚数和细间距的倒装芯片组装。组装过程与 C4 凸点相同,但自对准特 性远不如 C4 凸点,因此很少被使用。一般来讲,C2 回流焊凸点间距可以小到 25 微米。 C2 TCB:在高密度和超细间距倒装芯片组装中运用热压键合 C2 的方式主要有低 压应力和高压应力两种方式。低压应力 C2 TCB 通常情况下可以做到小至 8 微米 的铜柱间距。高压应力 C2 TCB 则必须结合 NCP 或者 NCP 底部填充技术。TCB 的 缺点在于设备成本高,当前全球做 TCB 设备的厂商主要是 ASM Pacific、库力 索法(K&S)以及 Besi 等。

2.1.3 混合键合

混合键合成为趋势,可实现 10um 以内的凸点间距。随着芯片的制造节点不断缩 小,封装尺寸和凸点间距也需要相应缩小。目前主流的倒装技术为回流焊,最 小可实现 40-50um 左右的凸点间距。如若进一步缩小凸点间距会带来翘曲和精 度问题,回流焊不再适用,而是转用热压键合(TCB)的方式。当凸点间距缩小 至 10um 时,TCB 工艺中会产生金属间化合物,导致导电性能下滑。为了在高集 成度(凸点间距 10um 以内)的芯片封装中解决这些问题,混合键合技术正在得 到越来越多的青睐。

混合键合是一种永久键合工艺,其将介电键合 (SiOx) 与嵌入式金属 (Cu) 结 合起来形成互连。它在业界被称为直接键合互连 (DBI) 。混合键合通过键合界 面中的嵌入式金属焊盘扩展了熔合键合,从而允许晶圆面对面连接。混合键合 可分为芯片到晶圆(Die to Wafer,D2W)以及晶圆到晶圆(Wafer to Wafer, W2W)的键合,W2W 量产进度更快,但 D2W 应用前景更大。

芯片到晶圆的混合键合

芯片到晶圆(Die to Wafer,D2W)是指将单个芯片逐个键合到目标晶圆上的过 程。模具尺寸越大,使用 D2W 堆叠越有利,成本效益越高。D2W 通常是混合键 合的主要选择,因为它支持不同的芯片尺寸、不同的晶圆类型和已知的良好芯 片,而 W2W 通常只支持相同节点的芯片。D2W 技术目前在 CIS 和存储中已经有所 应用。

目前业界主要有 Co-D2W、DP-D2W 和 SA-D2W 三种键合方法,其中 Co-D2W 是开发 时间最早、技术最成熟的方法,以及有经过多年验证的小批量生产经验。其次 是 DP-D2W 方法,主要方法与倒装芯片键合类似,技术通用性较强,目前有数家 设备厂在开发相关技术并进行量产的可行性验证。而 SA-D2W 的量产方法仍不明 确。

集体晶粒到晶圆键合(Co-D2W):在 Co-D2W 中,多个裸片在一个工艺步骤中被 转移到最终晶片上。Co-D2W 键合工艺的生产流程,包括四个主要部 分:载体准备、载体群、晶片键合(临时和永久)和载体分离。过去几年中, Co-D2W 在硅光通信等应用领域中进行了小批量量产。

直接贴装晶粒到晶圆(DP-D2W)键合:是目前正在评估的另一种用于异质集成 应用的混合晶粒到晶圆键合方法,使用拾取贴装倒装芯片键合机将晶粒单独转 移到最终晶圆上。

晶圆到晶圆的混合键合

晶圆级键合是指将两片晶圆高精度对准、接合,实现两片晶圆之间功能模块集 成的工艺。晶圆级键合设备可用于存储器堆叠、3D 片上系统(SoC)、 背照式 CMOS 图像传感器堆叠以及芯片分区等多个领域,是目前混合键合中能够进行大 量生产的技术。 台积电 SoIC-WoW 技术通过晶圆堆叠工艺实现异质和同质 3D 硅集成。紧密的键 合间距和薄的 TSV 可实现更好的性能、更低的功耗和延迟以及更小的外形尺 寸。WoW 适用于高良率节点和相同芯片尺寸的应用或设计,它甚至支持与第三 方晶圆集成。在 W2W 中,芯片在晶圆厂的两个晶圆上加工。然后,晶圆键合机 取出两个晶圆并将它们键合在一起。最后,对晶圆上堆叠的芯片进行切割和测 试。

混合键合推动键合步骤和设备单价增加。以 AMD 的 EPYC 为例,从 2017 年的第 一代霄龙处理器到 2023 年最新发布的第四代产品,生产过程中所需键合步骤从 4 次提升到了超 50 次。键合技术从倒装迭代至混合键合+倒装,对键合设备也提 出了更高的要求,Besi 相应开发了 8800 Ultra 以提供混合键合的键合功能,相 比原来的倒装键合机单价提升了 3-5 倍。

封装形式演变下,键合机需要更高的精度和更精细的能量控制。封装技术经历 了从最初通过引线框架到倒装(FC)、热压粘合(TCP)、扇出封装(Fan-out)、 混合封装(Hybrid Bonding)的演变,以集成更多的 I/O、更薄的厚度,以承载 更多复杂的芯片功能和适应更轻薄的移动设备。在最新的混合键合技术下,键 合的精度从 5-10/mm2提升到 10k+/mm2,精度从 20-10um 提升至 0.5-0.1um,与 此同时,能量/Bit 则进一步缩小至 0.05pJ/Bit,因此,键合机的控制精度和工 作效率都需达到新高度。

混合键合拉动键合设备需求,存储应用爆发值得期待。根据华卓精科招股书,1 万片晶圆/月的产能需要配置 4-5 台晶圆级键合设备。Besi 预计 2024 年混合键 合系统累计需求达 100 套,预计 2025 年后随着混合键合技术在存储中的应用, 2026 年累计需求将超 200 套(保守口径)。

相较于 D2W 设备,W2W 设备在产业中的应用更为广泛。根据 Yole 统计,2020 年全球 D2W 和 W2W 键合设备的市场规模约为 0.06 亿美元和 2.61 亿美元。2020- 2026 年,全球 D2W 和 W2W 键合设备的市场规模 CAGR 分别为 69%和 16%。

2.2 RDL:晶圆级封装关键技术,拓展 I/O 范围

RDL 是晶圆级封装中最为关键的技术。其在晶圆表面利用金属层与介质层形成相 应的金属布线图形,将原来设计的芯片线路焊盘重新布线到新的、间距更宽的 位置,使芯片能适用于更有效的封装互连形式。RDL 通过改变线路 I/O 端口原有 的设计,加大 I/O 端口间距,提供较大的凸块焊接面积,同时减小基板与元器 件间的应力,提高元器件的可靠性。此外封装工艺 RDL 可取代部分芯片线路, 从而缩短芯片开发时间。

2.5D/3D 封装中 RDL 不可或缺。在 2.5D IC 集成中,以台积电 CoWoS-S 为例,其 在中间层上下都布有宽间距的 RDL 层,通过 TIV(Through interposer Via)进 行信号和电气传递,在高速传输中提供低损耗的高频信号。在 3D 封装中,如果 上下是不同类型的芯片进行堆叠,则需要通过 RDL 重布线层将上下层芯片的 IO 进行对准,从而完成电气互联。随着工艺技术的发展,RDL 金属布线的线宽和线 间距越来越小,从而提供更高的互联密度。 封测厂主要用电镀法制作 RDL,大马士革法满足低 L/S 需求。RDL 的制作方式包 括电镀法、大马士革、金属蒸镀+金属剥除等,由于电镀法成本低,被封测厂广 泛应用,而利用前道晶圆制造中的大马士革原理的 RDL 工艺可以满足低线宽/间 距(Line/Space,L/S)的需求。

2.3 TSV:在 3D 封装中实现垂直互联

TSV 技术是 2.5D/3D 封装的关键工艺之一。中介层是 2.5D 封装关键特点之一, 其作用是连接多个芯片,目前主要采用硅基材料制造。通过在 DRAM、CPU、SoC 等芯片之间引入硅中介层,可以实现高速运算和数据交流,同时降低功耗,提 高效率。在常见的 2.5D 封装技术中,硅中介层集成了 TSV,芯片通常通过 MicroBump(微凸块)与中介层相连接。中介层通过 Bump 与基板连接。而 TSV 则是连接中介层上下表面电气信号的通道。TSV 在 3D 结构中同样必不可少。

依据 TSV 通孔生成的阶段 TSV 工艺可以分为:1)Via-First;2)Via-Middle; 3)Via-Last。 1)Via-First 指的是 TSVs 在 FEOL 工艺(例如晶体管)之前制造。Via-First 由于是在器件制造之前进行通孔工艺,因此可以使用高温工艺来制造绝缘层, 其劣势在于填充通孔的材料受限,由于后续晶体管制造过程中会有高温的环 节,此时如果填充材料为铜的时候,铜会很容易扩散到硅材料中。 2)Via-Middle 指的是 TSVs 在 FEOL 之后,BEOL(例如金属层)之前制备,这种 工艺由于晶圆厂在设备能力方面具备优势,晶圆厂通常也会制造,但也有部分 OSAT 厂商可以完成这一工艺。Via-Middle 的优势在于可以实现较小的 TSV 结构 间距,再布线层通道阻塞小以及 TSV 结构电阻也会较小,其劣势主要在于它必 须适合产品器件性能要求这样才不会干扰器件,并且也不会干扰相邻的布线 层。 3)Via-Last 指的是 TSVs 在 FEOL,MOL 和 BEOL 工艺之后制造 TSV,Via-Last (从晶圆正面)的方式由于在刻蚀的时候除了刻蚀硅之外,还需刻蚀整个电介 质层,以及会阻塞布线通道,因此较少被使用。Backside Via-Last 从晶圆背面 进行通孔,可以简化工艺流程,背面后通孔工艺被广泛用于图像传感器和 MEMS 器件。

TSV 工艺主要包括深硅刻蚀形成微孔,再进行绝缘层、阻挡层、种子层的沉积, 深孔填充,退火,CMP 减薄,Pad 的制备叠加等工艺技术。

2.4 临时键合/解键合

晶圆减薄:在 TSV 的 via first 和 via middle 工艺中,晶圆表面平坦化后,还 需要进行晶圆背面的减薄使 TSV 露出,via last 工艺中,晶圆在进行 Bosch 刻 蚀工艺前就会进行减薄。晶圆减薄的目的是使 TSV 露出,在晶圆级多层堆叠技 术中,需要将多片晶圆进行堆叠键合,同时总厚度还必须满足封装设备的要 求。目前较为先进的多层堆叠使用的芯片厚度均低于 100μm。未来如果叠加层 数增加,芯片的厚度需减薄至 25μm 甚至更薄。传统的晶圆减薄技术包括机械 磨削、CMP 和湿法腐蚀等。由于晶圆经过减薄后容易产生变形或翘曲,目前业界 主流的解决方案是采用一体机的思路,将晶圆的磨削、抛光、保护膜去除和划 片膜粘贴等工序集合在一台设备内。晶圆从始至终都被吸在真空吸盘上,始终 保持平整状态,从而防止了晶圆在工序间搬运时产生变形或翘曲。

临时键合工艺:由于超薄晶圆柔性较差且易碎,易产生翘曲,需要一套支撑系 统来防止这些损伤。通常在封装前使用某种特定的中间层材料,将超薄晶圆临 时键合到一个晶圆载板上,这种工艺称为临时键合工艺(Temporary Bonding)。键合工艺主要有热/机械滑移式临时键合与解键合、热/机械滑移式 临时键合与解键合、激光式临时键合与解键合三种工艺。激光临时键合与解键 合工艺最大工艺温度高,抗化学性好,是最新一代临时键合/解键合技术方案。 临时键合/解键合常见工艺流程:在临时载板或功能晶圆上通过压合、粘贴或旋 涂等方法制造一层键合黏接剂,然后翻转功能晶圆,使其正面与临时载板对 准,将二者转移至键合腔进行键合,临时键合完成后,对功能晶圆进行一系列 工艺形成 RDL 等结构。最后采用不同方式的解键合工艺将功能晶圆与临时载板 分离,对二者分别进行清洗后,将功能晶圆转移到划片膜或其他支撑系统中, 进行下一步工艺。临时载板可以马上进行再次利用。在这一工艺流程中,仅增 加临时键合机与解键合机两台设备,其他步骤均可采用与标准晶圆制造相同的 设备与工艺完成。目前全球临时键合设备主要供应商有 EV Group、SUSS MicroTec 等公司。国内芯源微临时键合机、解键合机产品进展顺利,已陆续实 现了多家下游客户的导入。

根据 Yole,2020 年“超越摩尔定律”相关的键合设备市场规模达到 17 亿美 金,预计到 2027 年将达到 28 亿美金。其中 2020 年临时键合设备市场规模为 1.13 亿美金,预计 2027 年将增长至 1.76 亿美金,SUSS 在全球占据主导地位。

临时键合胶:是将功能晶圆和临时载板黏接在一起的中间层材料。热稳定性、 化学稳定性、粘接强度、机械稳定性、均一性等是临时键合胶的关键选择因 素。临时键合胶的材料性能主要是由基础黏料的性质决定的,因此基础黏料的 选择至关重要。可用作基础黏料的高分子聚合物材料包括热塑性树脂、热固性 树脂、光刻胶等。目前全球临时键合胶产品主要有海外供应商垄断,主要有 Brewer Sciences 的 WaferBond 和 ZoneBond 系列产品、3M 的 LTHC 系列产品、 DuPont 的 HD-3000 系列产品、Thin Materials 的 T-MAT 系列产品、Dow Corning 的 WL 系列产品、东京应化工业株式会社(TOK)的 Zero Newton 系列产 品和 Dow Chemical 的 Cyclotene 系列产品。

3 国内供应商梳理

3.1 封测厂:积极布局先进封装,产品+客户双线推进

3.1.1 长电科技:国产封测龙头,先进封装注入成长新动力

国内封装测试龙头厂商。为公司海内外客户提供涵盖封装设计、焊锡凸块、针 探、组装、测试、配送等一整套半导体封装测试解决方案。目前公司在 5G 通信 类、高性能计算、消费类、汽车和工业等重要领域拥有行业领先的半导体先进 封装技术(如 SiP、WL-CSP、FC、eWLB、PiP、PoP 及 XDFOI™系列等)以及混合 信号/射频集成电路测试和资源优势,并实现规模量产。 2023H1 需求疲软,2023Q2 环比复苏。公司 2023 年上半年实现营收 121.7 亿 元,yoy-21.9%,归母净利润 4.96 亿元,yoy-67.89%,扣非归母净利 3.79 亿 元,yoy-73.11%,全年综合毛利率 13.54%,同比-4.98pcts,净利率 4.07%,同 比-5.83pcts。面对 2023 年地缘政治冲突及全球经济衰退的影响,半导体市场 仍处于行业下行周期。

分下游应用领域:2023H1 营收中通讯电子占比 35.4%、消费电子占比 26.1%、运 算电子占比 16.4%、工业及医疗电子占比 11.5%、汽车电子占比 10.5%。消费电 子 yoy-5.2pcts,运算电子 yoy-2pcts,通讯电子 yoy-1.3 pcts,工业及医疗电 子 yoy+1.4 pcts,汽车电子 yoy+6.9 pcts。 汽车电子业务高速增长。公司子公司星科金朋韩国厂(长电韩国)在 2021 年便 已获得了多款欧美韩车载大客户的汽车产品模组开发项目,主要应用为智能座 舱和 ADAS。截至 2022 年年报,中国大陆的厂区已完成 IGBT 封装业务布局,同 时具备碳化硅(SiC)和氮化镓(GaN)芯片封装和测试能力,已在车用充电桩 出货第三代半导体封测产品。公司在 2023 年上半年的汽车电子业务营收金额为 12.78 亿元,2022 年同期为 5.61 亿元,同比增长 127.7%,是公司业绩增长中重 要的增长点之一。 XDFOI 系列工艺实现稳定量产。目前 TSV 硅中介层技术在各大前沿封装技术中仍 占主导地位,包括 XDFOI 在内的 UHD FO 技术与硅桥技术正在不断发展。今年 1 月,XDFOI 已按计划进入稳定量产阶段,同步实现国际客户 4nm 节点多芯片系统 集成封装产品出货,最大封装体面积约为 1500mm²的系统级封装。当前技术可将 有机重布线堆叠中介层厚度控制在 50μm 以内,微凸点中心距为 40μm,并向 8 颗以上子芯片集成、70*70mm RDL 尺寸、50um 芯片间隙、混合键合凸点等技术发 展。

3.1.2 通富微电:积极拥抱 AMD,产品结构持续优化

国内集成电路封测领军企业之一,涵盖先进封测技术。公司成立于 1997 年,公 司主营业务为集成电路封装测试,封装方面目前已拥有 Bumping、WLCSP、FC、 BGA、SiP 等先进封测技术,QFN、QFP、SO 等传统封测技术以及汽车电子产品、 MEMS 等封测技术;测试方面目前已覆盖圆片测试、系统测试等测试技术。通富 微电产品线更专注于 FC、Bumping 和存储方向,受益下游客户 AMD 和存储弹性 大。 2023H1 业绩短期承压,主要系汇率损失导致。公司 2023 年上半年实现营收 99.08 亿元,yoy+3.56%,公司 2023 年上半年归母净利润-1.88 亿元,扣非归母 净利润-2.61 亿元,综合毛利率 10.42%,同比-5.56pcts,净利率-2.06%,同比 -5.91pcts。公司因汇兑损失减少归属于母公司股东的净利润 2.03 亿元左右, 如剔除上述汇率波动影响,2023 年上半年公司归属于母公司股东的净利润为 正。

先进封装技术领先,多样化布局。截至 2023 年 6 月 30 日,公司累计国内外专 利申请达 1,463 件,其中发明专利占比约 70%;同时,公司先后从富士通、卡 西欧、AMD 获得技术许可,使公司快速切入高端封测领域。 公司面向未来高附 加值产品以及市场热点方向,积极调整产品布局,在高性能计算、新能源、汽 车电子、存储、显示驱动等领域,大力开发扇出型、圆片级、倒装焊等封装技 术并扩充其产能,布局 Chiplet、2.5D/3D 等顶尖封装技术。 绑定 AMD,深度受益高性能计算。通富微电在 AMD 处份额超过 80%,与 AMD 协同 发展,深度受益 AI 浪潮。2022 年,通富超威苏州及通富超威槟城实现营收共计 143.85 亿元,yoy+74%,合计实现净利润 6.67 亿元。通富超威苏州及通富超威 槟城合计营收及合计净利润连续 6 年实现增长,贡献了公司的主要增长。

3.1.3 甬矽电子:封测界后起之秀,聚焦中高端业务

聚焦先进封装,产品结构完善优质。甬矽电子成立于 2017 年 11 月,主要聚焦 集成电路封测中的先进封装领域,主要终端包括消费类电子、汽车电子、工规 产品等。公司销售收入主要来自于中高端封装产品,并在射频前端芯片封测、 AP 类 SoC 芯片封测、触控 IC 芯片封测、WiFi 芯片封测、蓝牙芯片封测、MCU 等 物联网(IoT)芯片封测等新兴应用领域具有良好的市场口碑和品牌知名度。 坚持研发,技术独立自主。截至 2023 年 6 月 30 日,公司已经取得的专利共 281 项,其中发明专利 111 项、实用新型 162 项、外观专利 2 项、6 项软件著作权。 2019 年-2023 年 9 月,公司研发投入金额分别为 0.28 亿元、0.49 亿元、0.97 亿元、1.22 亿元和 1.02 亿元,呈稳定上升趋势。未来公司将根据自身发展战略 和市场需求情况,继续加大研发投入力度,持续完善研发人员储备战略,提高 研发人员的专业能力。公司在高密度细间距凸点倒装产品(FC 类产品)、系统级 封装产品、4G/5G 射频功放封装技术、高密度大尺寸框架封装产品、MEMS 封装 产品、IC 测试等领域均具拥有核心技术,且稳定量产。

客户资源优秀。凭借稳定的封测良率、灵活的封装设计实现性、不断提升的量 产能力和交付及时性,恒玄科技、晶晨股份、富瀚微、联发科、北京君正、鑫 创科技、全志科技、汇顶科技、韦尔股份、唯捷创芯、深圳飞骧、翱捷科技、 锐石创芯、昂瑞微与星宸科技等行业内知名芯片企业建立了合作关系,并多次 获得客户授予的最佳供应商等荣誉。 行业复苏不及预期,静待下游需求回暖。公司 2023 年前三季度实现营收 16.31 亿元,同比降低 4.86%。实现归母净亏损 1.20 亿元。预计实现扣非归母净亏损 1.63 亿元。报告期内,受外部经济环境及行业周期波动影响,全球终端市场需 求依旧较为疲软,下游需求复苏不及预期,公司所处的封测环节亦受到一定影 响。根据世界半导体贸易统计组织(WSTS) 发布的预测称,2023 年全球半导 体市场规模将同比减少 10.3%,降至 5150 亿美元;预计 2024 年半导体市场 规模将比 2023 年增加 11.8%。 攻克高密度 Bump+RDL 技术,发力晶圆级封装。公司在 2018 年后逐步实现多种 尖端产品和技术的量产,包括倒装芯片、QFN/DFN、焊线类 BGA、系统级封装 (SiP)以及混合封装 BGA(Hybrid-BGA),先进封装产品占比领先。基于先进 的 Bumping 微凸块和 RDL 重布线技术,积极开发 Fan-in/Fan-out、2.5D/3D 等 晶圆级封装技术。随着 Bumping 及 CP 产能通线,公司凸块加工自给率不断提 升,一站式交付能力提升,亦将带动毛利率上行。

3.2 封装设备:国产替代走向深水区

3.2.1 拓荆科技:混合键合设备国产化中坚力量

大力投入混合键合设备开发,新品取得突破性进展。根据公司 2023 年半年度报 告,公司累计已经投入金额 6.67 亿元用于研发混合键合系列设备产品的“应用 于三维集成领域的系列产品研发与产业化”项目。预计总投资规模 9.71 亿元, 本期已投入 571.6 万元,技术水平达到国际同类设备水平。公司研制的晶圆对 晶圆键合产品 Dione 300 实现首台产业化应用, 并获得了重复订单,后续也会开展芯片对晶圆键合设备的研发;公司芯片对晶圆键合表面预处理产品 Pollux 已出货至客户端进行产业化验证,验证进展顺利。

3.2.2 芯源微:涂胶显影&湿法设备需求增加,产品矩阵全面推进

受益先进封装&Chiplet 产业趋势,后道产品矩阵进一步拓宽。在 Chiplet 技术 中,为了缩小芯片体积、提高芯片散热性能和传导效率等,晶圆减薄工艺会被 大量应用,为了不损伤减薄中以及减薄后晶圆,需要将晶圆片与玻璃基板临时 键合并在完成后续工艺后最终解键合。同时在 Chiplet 技术路线下,Fan-out、 CoWoS 等封装工艺路线都要经过单次或多次的临时键合及解键合工艺来实现芯粒 互联。针对以上半导体工艺应用场景,公司已成功研发临时键合机、解键合机 产品,突破国外企业垄断,目前临时键合机正在进行客户端验证。 后道涂胶显影、湿法类工艺需求显著增加。公司后道先进封装领域用涂胶显影 设备、单片式湿法设备实现批量销售超百台套,近年来已作为主流机型批量应 用于台积电、长电科技、华天科技、通富微电、晶方科技、中芯绍兴、中芯宁 波等国内一线大厂,已经成为客户端的主力量产设备。报告期内,公司加深与 盛合晶微、长电绍兴、上海易卜等国内新兴封装势力的合作关系,成功批量导 入各类设备。

后道先进封装领域中多项技术全面推进。在后道先进封装领域,公司作为行业 龙头持续提升机台各项技术指标,全新的 BHP 盘体平衡压技术可应用于 chiplet 等新兴先进封装领域,在更高工艺等级下实现了产品良率的提升;化学药液管 控技术实现了对强挥发性、强腐蚀性化学药液挥发物的精准管控,达到了更高 标准的机台耐腐蚀等级和产品工艺效果;新开发的激光解键合去胶清洗技术, 实现了在同一机台内完成激光解键合-RL 层清洗-TB 胶层清洗等多种工艺,可有 效提升客户生产效率。报告期内,公司在多项工艺能力上达到了更高水平,力 争为客户提供更具价值的产品解决方案,同时积极定义下一代产品。

3.2.3 华海清科:混合键合对 CMP 要求提高

混合键合对 CMP 要求严格。混合键合前,对晶圆的平坦度要求高,根据 EVG 数 据,混合键合对表面的平坦度要求在 0.5nm 以内,更理想的情况是控制在 0.3nm。因此 CMP 是混合键合的预处理中成本占比最高的加工过程。 积极开拓先进封装市场。公司用于先进封装的 CMP 设备已批量交付客户大生产 线。公司在先进封装领域还可提供减薄设备 Versatile-GP300,集成超精密磨 削、抛光及清洗单元,配置先进的厚度偏差与表面缺陷控制技术,提供多种系 统功能扩展选项,具有高精度、高刚性、工艺开发灵活等优点。

3.2.4 新益昌:固晶机老兵,MiniLED&半导体双轮驱动

深耕十七年,LED 固晶龙头。公司成立于 2006 年,主要从事半导体、LED、电容 器、锂电池等行业智能制造装备的研发、生产和销售,为客户实现智能制造提 供先进、稳定的装备及解决方案。经过 17 年的积累和沉淀,公司已经成为国内 LED 固晶机、电容器老化测试智能制造装备领域的领先企业,公司成立以来始终 致力于推动固晶机的国产化进程,目前产品已从传统 LED 领域拓展至 Mini-LED 和半导体封装领域。 营收短期承压,盈利能力保持增长趋势,固晶机为主要营收贡献。2019 年至 2022 年,公司营收复合增速为 15.95%,归母净利润增速为 23.54%。公司 2022 年实现营收 11.8 亿元,yoy-1.1%,归母净利润 2.0 亿元,yoy-11.8%,主要系 行业周期下行,需求不振。2023H1 公司营收 5.39 亿元,其中固晶机收入为 3.77 亿元,占比最高,达 69.94%。电容器老化测试设备营收为 1.23 亿元,占 比为 22.82%。营收增速方面,2023H1 锂电池老化测试设备同比增速最快,为346.8%%,电容器设备同比增速为 20.59%,而固晶机有所下滑,同比-27.64%。 2022 年公司固晶机业务毛利率达 45.05%,电容器老化测试设备为 36.20%。随着 行业复苏和产品结构更新,公司业绩在 2023H2 有望迎来反弹。

固晶机和焊线机是封装中占比较高的设备,公司产品成功切入。封装设备包括 固晶机、焊线机、电镀设备、减薄机、划片机等,其中设备价值量占比最高的 为固晶机和焊线机。封装技术经历了从最初通过引线框架到倒装、热压粘合、 扇出封装、混合封装的演变,提出对固晶机控制精度和工作效率新要求,公司 掌握高速精准运动控制技术、单邦双臂同步运行技术、Mini LED 缺陷检测算 法、智慧产线等固晶机核心技术,于 2017 年切入半导体固晶机领域。 焊线机方面,收购开玖成功进军。焊线机占封装设备市场规模的 32%,公司 2021 年收购深圳市开玖自动化设备有限公司 75%的股权。开玖主要产品为全自 动超声波引线键合设备,是国内 TO56 焊线机(可翻转焊线)行业的开拓者,其 TO56 激光管已占有 80%以上市场份额。通过过收购开玖自动化,积极研发半导 体焊线设备,实现固晶与焊线设备的协同销售,有效扩展公司在封测流程中的 产品应用和市场空间,助力公司未来多元化成长。

3.2.5 盛美上海:清洗设备龙头,平台化布局打造六大业务版图

实行差异化国际竞争,业务不断拓展延伸。盛美上海成立于 2005 年,公司自设 立以来,致力于为全球集成电路行业提供先进的设备及工艺解决方案,坚持差 异化国际竞争和原始创新的发展战略,通过自主研发,建立了较为完善的知识 产权体系,凭借丰富的技术和工艺积累,形成了具有国际领先或先进水平的前 道半导体工艺设备,包括清洗设备(包括单片、槽式、单片槽式组合、CO2 超临 界清洗、边缘和背面刷洗)、电镀设备、立式炉管系列设备(包括氧化、扩散、 真空回火、LPCVD、ALD)、前道涂胶显影 Track 设备、等离子体增强化学气相 沉积 PECVD 设备、无应力抛光设备;后道先进封装工艺设备以及硅材料衬底制 造工艺设备等,打造“清洗+电镀+先进封装湿法+立式炉管+涂胶显影+PECVD” 六大类业务版图。 业绩高速增长,盈利能力持续加强。公司自 2018 年以来,营收与归母净利润同 比持续上涨,2022 年营收 28.73 亿元,同比上涨 77.25%,2023H1 营收 16.1 亿 元,同比上涨 46.94%,2018-2022 年 CARG 达 51.18%。归母净利润方面,2022 年归母净利润达 6.68 亿元,同比上涨 151.08%,2023H1 归母净利润达 4.39 亿 元,同比上涨 85.74%,2018-2022 年 CARG 达 63.71%。

新品、新技术频出,先进封装领域覆盖率持续上升。UltraCpr 设备新添金属剥 离工艺,以支持功率半导体制造和晶圆级封装(WLP)应用。该应用是一种形成 晶圆表面图案化的方法,省去了蚀刻工艺步骤,可降低成本,缩短工艺流程, 并减少高温化学品用量。全自动槽式清洗设备广泛应用于集成电路领域和先进 封装领域的清洗、刻蚀、光刻胶去除等工艺,能够同时清洗 50 片晶圆,设备主 要应用于 40nm 及以上技术节点的几乎所有清洗工艺步骤。三维电镀设备 UltraECP3d,可为高深宽比(深宽比大于 10:1)铜应用提供高性能、无孔洞的镀铜功能。为提高产能而做的堆叠式腔体设计,还能减少消耗品的使用,降低 成本,节省设备使用面积。无应力抛光先进封装平坦化设备,公司拓展开发适 用于先进封装 3D 硅通孔及 2.5D 转接板中金属铜层平坦化工艺应用,利用无应 力抛光的电化学抛光原理,有效解决 CMP 工艺存在的技术和成本瓶颈。

前道铜互连电镀铜设备,公司开发了拥有自主知识产权的前道铜互连电镀设备 Ultra ECP map 及电镀工艺,针对 28-14nm 及以下技术节点,可在超薄籽晶层 (5nm)上完成无空穴填充,同时通过对不同阳极的电流调整,在无空穴填充后 实现更好的沉积铜膜厚的均匀性,可满足先进工艺的镀铜需求。目前整机设备 已进入量产验证,并已部分实现产线量产,2022 年获得大量重复批量订单,继 续引领电镀设备国产化,确立了公司在本土 12 英寸铜互连电镀设备市场的龙头 地位。该技术进一步延伸到先进封装湿法设备领域,成功开发了先进封装电镀 设备、三维 TSV 电镀设备和高速电镀设备,填补国内空白并形成批量销售。 更大电镀液流量下实现平稳电镀技术方面,公司解决了在更大电镀液流量下实 现平稳电镀的难题,2022 年在高速电镀锡银方面也实现突破,在客户端成功量 产。采用独创的第二阳极电场控制技术更好地控制晶圆平边或缺口区域的膜厚 均匀性控制,实现高电流密度条件下的电镀,凸块产品的各项指标均满足客户 要求。在针对高密度封装的电镀领域可以实现 2μm 超细 RDL 线的电镀以及包括 铜、镍、锡、银和金在内的各种金属层电镀。自主开发的橡胶环密封专利技术 可以实现更好的密封效果。2022 年进一步扩大市场规模并取得高端客户的批量 订单。

3.2.6 中微公司:刻蚀设备龙头,产品推进先进制程

纵向深耕刻蚀设备与 MOCVD 设备,横向开拓 LPCVD、ALD 设备领域。公司成立于 2004 年,主要从事高端半导体设备及泛半导体设备的研发、生产和销售,基于 在半导体设备制造产业多年积累的专业技术,涉足半导体集成电路制造、先进封装、LED 外延片生产、功率器件、MEMS 制造以及其他微观工艺的高端设备领 域,形成由刻蚀设备起步,逐渐拓展至 MOCVD,VOC,LPCVD,进一步向 ALD 设备 延伸局面,自成立以来,公司致力于开发和提供微观加工所需的高端关键设 备,紧跟先进制程工艺最前沿的发展,和国际最强的半导体设备公司同步前 行。 营收与归母净利润高速增长,实现五年同比上升。公司 2022 年实现营收 47.4 亿元,同比上升 52.51%,主要系等离子体刻蚀设备在国内外持续获得更多客户 的认可,市场占有率不断提高,MOCVD 设备新品开发进展顺利;2022 年实现归 母净利润 11.7 亿元,同比上升 15.73%。公司 2023 年 H1 营收 25.27 亿元,同比 上升 28.13%,实现归母净利润 10.03 亿元,同比上升 114.4%,2018-2022 年营 收与归母净利润 CARG 分别达 30.41%、89.36%,实现营收与归母净利润双高速增 长。其中刻蚀设备营收持续上升,由 2018 年 5.66 亿元增长至 2022 年 31.47 亿 元,CARG 达 53.56%,2022 年刻蚀设备营收占比达 66.39%,贡献多数营收。

刻蚀产品不断推进,先进封装覆盖率上升。大马士革工艺上,公司开发可调节 电极间距 CCP 刻蚀机 Primo HD-RIE 已进入客户验证阶段,设备具有实时可调 电极间距功能,可以在同一刻蚀工艺的不同步骤使用不同的电极间距,灵活调 节等离子体浓度分布和活性自由基浓度分布,有效的应对一体化大马士革刻蚀 工艺中要求的在同一刻蚀工艺中达到最优的沟槽和通孔刻蚀均匀性的问题,极 大拓宽一体化刻蚀工艺的工艺窗口,助力公司提升先进制程市场市占率。 极高深宽比结构刻蚀方面,公司致力于提供超高深宽比掩膜(40:1)和超高深 宽比介质刻蚀(60:1)的全套解决方案,相应的开发了配备超低频偏压射频的 ICP 刻蚀机用于超高深宽比掩膜的刻蚀,配备超低频高功率偏压射频的 CCP 刻 蚀机用于超高深宽比介质刻蚀,这两种设备都已经开展现场验证,目前进展顺 利,其中自主开发的超高深比刻蚀机采用大功率 400KHz 取代 2MHz 作为偏压射 频源,通过低频射频有效提升离子入射能量和准直性,极大的提高深宽比刻蚀 的能力。 深硅刻蚀设备不断取得新成绩。公司 ICP 技术设备类中的 8 英寸和 12 英寸深硅 刻蚀设备 Primo TSV 200E、Primo TSV 300E 在晶圆级先进封装、2.5D 封装和微 机电系统芯片生产线等成熟市场继续获得重复订单的同时,在 12 英寸的 3D 芯 片的硅通孔刻蚀工艺上得到成功验证,并在欧洲客户新建的 12 英寸微机电系统 芯片产线上获得认证的机会,新工艺的验证有助于公司 Primo TSV 300E 刻蚀设 备拓展新市场提供新动力。

3.3 封装材料:国产化空间巨大,技术壁垒高企

3.3.1 兴森科技:国产 IC 封装基板领军者

深耕 PCB 领域三十年,PCB、半导体两大业务并举。兴森科技前身广州快捷电路 板有限公司成立于 1993 年,公司自成立以来深耕 PCB 样板、快件和小批量板, 目前已成为行业领军企业。以传统 PCB 业务为基础,兴森科技通过外延内生的 方式,进一步拓展半导体业务中的半导体测试板、IC 封装基板领域,为芯片的 封装和测试环节关键材料提供国产化配套。当前公司聚焦 PCB 与半导体两大业 务板块,产品广泛应用于通信设备、服务器、工控及仪器仪表等多个领域,不 断提升服务客户的深度与广度,并通过强化管理提升效率增强核心竞争力。 营收稳步向上,IC 封装基板投资扩产利润短期承压。公司营收从 2017 年的 32.8 亿增长至 2022 年的 53.5 亿,5 年复合增长率 10.3%,稳步向上,其中 IC 封装基板占比不断上升,2022 年占营收比重 75.3%。利润方面,2017 年至 2021 年亦持续增长,但 2022 年 PCB 行业面临需求不振和竞争加剧的双重压力,宜兴 硅谷产能利用率不足,英国 Exception 产线升级更新叠加成本上升净利润下 滑。公司 2023 年上半年实现营收 25.66 亿元,yoy-4.8%,归母净利润 0.18 亿 元,yoy-95.0%。

2022 年 IC 封装基板业务由于行业需求大幅下滑导致新增产能利用率不足,叠加 FCBGA 封装基板项目仍处于建设阶段,未产生收入贡献,但整体人工成本、研发 投入、试生产损耗等对公司利润形成较大拖累,FCBGA 封装基板项目 2022 年全 年费用投入约 1.02 亿元,2023 年上半年人工、材料、能源、折旧等费用合计约 1.46 亿元,整体亏损约 1.09 亿元。对公司归母净利润产生不利影响。我们认 为随着下游需求恢复,以及 FCBGA 封装基板在通过产品验证后获得客户订单营 收逐步放量,公司利润水平有望修复。 封装基板作为集成电路封装关键载体,兴森持续加码扩产。封装基板作为芯片 封装核心材料,一方面保护、固定、支撑芯片,增强芯片导热散热性能,保证 芯片不受物理损坏,另一方面封装基板的上层与芯片相连,下层与 PCB 相连, 从而实现电气和物理连接、功率分配、信号分配,以及沟通芯片内部与外部电 路等功能。

CSP 封装基板性能方面,兴森科技 CSP 封装基板工厂设备设计上具备最薄 0.035mm 芯板加工能力,对于无芯基板产品,制造过程中使用自动分离机,分离 速度快、精度高,避免了手动分离后的基板翘曲、折痕和破损问题。细线路方 面,公司有全套对应 Tenting(50/50-25/25μm)、MSAP(30/30-15/20μm)、 ETS(13/13-10/10μm)的设备,LDI 曝光机采用直接曝光进行图像转移,公司 引进业内先进的高解析度全自动 LDI 曝光机,对准精度高,稳定性好,产速 高。此外通过垂直非接触式显影线,提升细线路良率。多层板制作方面,公司 有成熟的层间对位系统,采用高集成一体化全自动叠合。同时工厂通过高度自 动化,提高效率保证一致性。 CSP 产能方面:公司目前广州生产基地有 2 万平方米/月的产能,良率优秀,与 大基金合作的 IC 封装基板项目(广州兴科,由全资子公司珠海兴科实施)分二 期投资,第一期规划的产能为 4.5 万平方米/月,第一条产线(1.5 万平方米/ 月)产能已开出。未来随着客户需求及市场情况,公司将继续扩产以支撑长期 增长。

FCBGA 封装基板方面:兴森科技在 ABF 封装基板领域是仅有的几家在客户认证有 突破的国产供应商之一,目前与国内外部分主流芯片设计公司、封装企业均已 建立起联系。珠海产线目前处于客户认证阶段,部分大客户的技术评级、体系 认证均已通过,等待产品认证结束之后进入小批量生产阶段。截至 2022 年底, 公司 FCBGA 封装基板项目已累计投资 9.65 亿元,逆势扩张支撑公司长期成长。 产能方面,公司珠海 FCBGA 封装基板项目拟建设产能 200 万颗/月(约 6,000 平 方米/月)的产线,已于 2022 年 12 月底建成并成功试产。广州 FCBGA 封装基板 项目拟分期建设 2000 万颗/月(2 万平方米/月)的产线,一期厂房已于 2022 年 9 月完成厂房封顶,目前处于设备安装阶段,预计 2023 年第四季度完成产线建 设、开始试产,未来有望充分受益封装关键材料国产化浪潮。

3.3.2 天承科技:PCB 专用化学品龙头

公司主要产品为 PCB 专用电子化学品,高端产品市占率国内第二。天承科技成 立于 2010 年,主要产品为应用于高端 PCB 生产中沉铜、电镀、铜面处理等环节 的专用电子化学品。公司公司积累了一批优质的客户,包括深南电路、兴森科 技、方正科技、崇达技术、景旺电子、广合科技、生益电子、博敏电子等国内 知名企业,已实现高端电子电路用水平沉铜专用化学品和电镀专用化学品的部 分国产替代,在中国大陆高端市场中份额位居第二,市占率约为 20% 水平沉铜市场贡献主要增长,电镀专用化学品壁垒高企。公司营收主要增长来 自水平沉铜专用化学品和电镀专用化学品的销售收入增长。水平沉铜专用化学 品产品销售额从 2020 年的 1.84 亿元上升到 2022 年的 2.82 亿元。毛利率稳定 在 20-25%,主要原因是公司采用包线销售。电镀专用化学品销售额从 2020 年的 925 万元上升到 2022 年的 3458 万元,收入占比从 4%上升到 9%。电镀化学品核 心是配方,直接材料占比不高,公司 2020-2022 年毛利率从 73.28%增长至 84.37%,主要系高毛利盲孔填孔产品销售额占比上升。

PCB 专用电子化学品市场稳健增长,高端产品国产化率仍待打开。预计 2021 年 中国大陆产值 PCB 专用电子化学品约为 140 亿元人民币,预计未来三年将保持 4%-6%的增长率。线路图形、铜面处理、孔金属化、电镀工艺、最终表面处理五 大 PCB 制程所使用的专用电子化学品约占总产值的 10%、10%、40%、20%、 20%。孔金属化中的水平沉铜和电镀环节的专用化学品壁垒较高,国产化率均在 20%左右,外资如安美特等公司近乎垄断。 定位高端产品,持续替代外资。高端 PCB 产品包括 HDI、高频高速板、类载板、 多层软板及软硬结合板、半导体测试板、载板等。2020-2022 年,公司 PCB 专用 电子化学品应用于高端 PCB 的收入占比平均为 69.27%。据 CPCA 统计,国内的 PCB 厂商在高端 PCB 生产投入的水平沉铜线约为 250 条,其中安美特为一半以 上的水平沉铜线提供专用电子化学品,公司在国内市场的份额仅次于安美特, 截至 2022 年底,共有 11 条高端 PCB 生产线供应商由安美特切换成天承科技。 基于公司的本土化优势,有望持续替代外资的市场份额。

公司有能力提供 IC 载板解决方案,在研脉冲填孔电镀铜添加剂,进一步打开高 端市场。公司水平沉铜化学品 SkyCopp3652 于 2015 年开发出第一代产品,2022 年在兴森科技替换安美特的一条半导体测试板产线。垂直沉铜化学品 SkyCopp SAP3622 于 2018 年开发,2022 年优化后可应用于 ABF 高端材料。另外,公司正 在开发 AR>0.8 的盲孔和直通孔填充,以开发出适用于 TSV 的电镀铜专用化学 品,目前产品处于中试阶段。 公司 2023 年上半年实现营收 1.60 亿元,同比下降 14.98%;归母净利润 2613.26 万元,同比下降 1.61%,主要是因为消费电子等终端市场需求下滑,行 业整体景气度处于下行状态。公司研发费用率保持较高水平,公司在研的半导 体封装用转接板孔金属化技术,适用于玻璃和聚合物基材的转接板制造,目前 也已进入小试阶段,技术水平国际领先,未来公司将持续加大研发投入,扩充 高端技术与产能以适应市场的需求和变化。

3.3.3 华海诚科:环氧塑封料稀缺标的

内资环氧塑封料代表厂商。华海诚科成立于 2010 年,主要产品为环氧塑封料和 电子胶黏剂,是国内少数具备芯片级固体和液体封装材料研发量产经验的专业 工厂。公司紧密跟进下游封装技术,近一年成功研发了 low CTE2 技术和对惰性 绿油高粘接性技术,并积极开展无铁生产线技术和无硫环氧塑封料产品。 立足传统封装领域,积极布局先进封装。传统封装领域,公司在长电科技、华 天科技等部分主流厂商逐步实现了对外资厂商产品的替代,市场份额逐步提 升。先进封装领域,应用于 QFN 的产品 700 系列已通过长电科技及通富微电等 知名客户验证,实现小批量生产与销售,成为公司新的业绩增长点;应用于先 进封装的颗粒状环氧塑封料(GMC)以及 FC 底填胶等已通过客户验证,液态塑 封材料(LMC)正在客户验证过程中,有望逐步实现产业化并打破外资厂商的垄 断地位。

公司 2023 年上半年实现营收 1.26 亿元,同比下降 15.29%;归母净利润 1209.24 万元,同比下降 26.92%,主要是由于消费电子等终端设备的需求不及预期,消费类芯片需求有所下滑,公司应用在消费电子类产品的订单有所下 滑。公司持续加大研发投入,2023 年上半年研发投入 1090.86 万元,同比增长 25.87%。 公司 IPO 募集资金主要用于高密度集成电路和系统级模块封装用环氧塑封料项 目和研发中心提升项目。高密度集成电路和系统级模块封装用环氧塑封料项目 可形成年产 11000 吨环氧塑封料的生产能力。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库】。「链接」

相关问答

经过这次疫情,不怕“饿死”的行业你知道有哪些吗?

2020年,由于新冠疫情,企业裁人裁得那叫一个荡气回肠。大公司如腾讯、百度、京东、华为,展开了“末位淘汰”、“干部年轻化”等运动,一批职场中年精英被优化了...

2020年快要收官,今年股市红利你赚到了么?购买了什么板块?

之前就有朋友问大拿:今年的股市收益如何?大拿回答:少赚了一点。今年的股市红利,说实话却是赚到了点,主要的板块在于锂电池和医药,这也是大拿长期看好的板...之...

nba雨燕直播免费高清在线V5.51.80(2024已更新)

终极封测12月21日开启版本更新引期待Combined,thesefactsmadeitseemasifthemoneyprovidedbythePACtoVirgin...

未来国内实体经济各行各业发展趋势?

题目太宏大了,连篇累牍也说不尽,但可以简化点聊聊!传统的行业分类芜杂纷繁,不去提了。改开四十年,由于特殊的国情和中国人极度勤奋996的精神,经济整体规...消...

怎么通过股票代码转化为申万行业分类- 汇财吧专业问答test

[回答]1、沪市A股票代码是以60开头B股代码是以900开头新股申购的代码是以730开头配股代码以700开头2、深市A股票代码是以00开头B股代码是以200...每种股...